Un réseau crossbar de dispositifs de mémoire magnétorésistifs pour l’informatique en mémoire – Nature

  • 1.

    Horowitz, M. Computings problème d’énergie (et ce que nous pouvons faire à ce sujet). Dans Proc. Conférence internationale sur les circuits à semi-conducteurs (ISSCC) 1014 (IEEE, 2014).

  • 2.

    Keckler, SW, Dally, WJ, Khailany, B., Garland, M. & Glasco, D. Les GPU et l’avenir de l’informatique parallèle. Micro IEEE 31, 717 (2011).

    Article Google Scholar

  • 3.

    Song, J. et al. Une unité de traitement neuronal sensible à la parcimonie à double cœur à structure papillon 11,5TOPS/W 1024-MAC dans un SoC mobile phare de 8 nm. Dans 2019 IEEE Int. Solid-State Circuits Conference Digest of Technical Papers (ISSCC) 130131 (IEEE, 2019).

  • 4.

    Sébastien, A. et al. Dispositifs de mémoire et applications pour l’informatique en mémoire. Nat. Nanotechnologie. 15, 529544 (2020).

    ADS CAS Article Google Scholar

  • 5.

    Wang, Z. et al. Matériaux de commutation résistifs pour le traitement de l’information. Nat. Rév. Mater. 5, 173195 (2020).

    ADS CAS Article Google Scholar

  • 6.

    Ielmini, D. & Wong, HP Calcul en mémoire avec dispositifs de commutation résistifs. Nat. Électron. 1, 333343 (2018).

    Article Google Scholar

  • sept.

    Verma, N. et al. Calcul en mémoire : avancées et perspectives. Circuits à semi-conducteurs IEEE Mag. 11, 4355 (2019).

    Article Google Scholar

  • 8.

    Woo, J. et al. Comportement synaptique amélioré sous des impulsions identiques à l’aide d’AlOX/HfO2 matrice RRAM bicouche pour les systèmes neuromorphiques. IEEE Electron Device Lett. 37, 994997 (2016).

    ADS CAS Article Google Scholar

  • 9.

    Yao, P. et al. Classification des visages à l’aide de synapses électroniques. Nat. Commun. 8, 15199 (2017).

    ADS CAS Article Google Scholar

  • dix.

    Wu, H. et al. Optimisation de dispositifs et de circuits de RRAM pour l’informatique neuromorphique. Dans Réunion internationale IEEE sur les dispositifs électroniques 2017 11.5.111.5.4 (IEEE, 2017).

  • 11.

    Li, C. et al. Apprentissage in-situ efficace et auto-adaptatif dans les réseaux de neurones memristors multicouches. Nat. Commun. 9, 2385 (2018).

    Article sur les annonces Google Scholar

  • 12.

    Chen, W. et al. Calcul en mémoire non volatile mémristif intégré au CMOS pour les processeurs de périphérie IA. Nat. Électron. 2, 420428 (2019).

    Article du CAS Google Scholar

  • 13.

    Yao, P. et al. Réseau neuronal convolutif memristor entièrement implémenté matériellement. La nature 577, 641646 (2020).

    ADS CAS Article Google Scholar

  • 14.

    Le Gallo, M. et al. Calcul en mémoire à précision mixte. Nat. Électron. 1, 246253 (2018).

    Article Google Scholar

  • 15.

    Ambrogio, S. et al. Formation accélérée de réseau de neurones à précision équivalente à l’aide de la mémoire analogique. La nature 558, 6067 (2018).

    ADS CAS Article Google Scholar

  • 16.

    Merrikh-Bayat, F. et al. Neuroinformatique à signaux mixtes hautes performances avec réseaux de cellules mémoire à grille flottante à l’échelle nanométrique. IEEE Trans Neural Netw. Apprendre. Syst. 29, 47824790 (2018).

    Article Google Scholar

  • 17.

    Wang, P. et al. Flash NAND tridimensionnel pour la multiplication de matrice vectorielle. IEEE Trans. Système VLSI 27, 988991 (2019).

    Article Google Scholar

  • 18.

    Xiang, Y. et al. Réseaux neuronaux à convolution profonde efficaces et robustes basés sur une matrice de calcul flash NOR. IEEE Trans. Électron Dev. 67, 23292335 (2020).

    Article sur les annonces Google Scholar

  • 19.

    Lin, Y.-Y. et coll. L’invention concerne une nouvelle architecture de multiplication de matrice vectorielle à accumulation de tension utilisant un dispositif de mémoire flash à grille flottante shuntée par résistance pour des applications de réseau neuronal à faible puissance et haute densité. Dans Réunion internationale IEEE 2018 sur les dispositifs électroniques 2.4.12.4.4 (IEEE, 2018).

  • 20.

    Song, YJ et al. Démonstration de STT-MRAM hautement manufacturable intégrée dans une logique de 28 nm. Dans Réunion internationale IEEE 2018 sur les dispositifs électroniques 18.2.118.2.4 (IEEE, 2018).

  • 21.

    Lee, YK et al. STT-MRAM intégrée dans un processus logique FDSOI 28 nm pour application industrielle MCU/IoT. Dans Symposium IEEE 2018 sur la technologie VLSI 181182 (IEEE, 2018).

  • 22.

    Wei, L. et al. Une STT-MRAM de 7 Mo en technologie FinFET 22FFL avec un temps de détection de lecture de 4 ns à 0,9 V utilisant un schéma d’écriture-vérification-écriture et une technique de détection d’annulation de décalage. Dans 2019 IEEE Int. Solid-State Circuits Conference Digest of Technical Papers 214216 (IEEE, 2019).

  • 23.

    LeCun, Y., Bengio, Y. & Hinton, G. Apprentissage en profondeur. La nature 521, 436444 (2015).

    ADS CAS Article Google Scholar

  • 24.

    Yu, S. Informatique inspirée par la neurologie avec une mémoire non volatile émergente. Proc. IEEE 106, 260285 (2018).

    Article du CAS Google Scholar

  • 25.

    Patil, AD et al. Une architecture en mémoire profonde basée sur la MRAM pour les réseaux de neurones profonds. Dans Symposium international IEEE 2019 sur les circuits et les systèmes (IEEE, 2019).

  • 26.

    Zabihi, M. et al. Traitement en mémoire sur la CRAM spintronique : de la conception matérielle à la cartographie applicative. IEEE Trans. Calcul. 68, 11591173 (2019).

    MathSciNet ArticleGoogle Scholar

  • 27.

    Kang, SH STT-MRAM intégrée pour des systèmes mobiles économes en énergie et économiques. Dans Symposium IEEE 2014 sur la technologie VLSI (IEEE, 2014).

  • 28.

    Zeng, ZM et al. Effet du produit résistance-aire sur la commutation par transfert de spin dans les cellules de mémoire à jonction tunnel magnétique à base de MgO. Appl. Phys. Lett. 98, 072512 (2011).

    Article sur les annonces Google Scholar

  • 29.

    Kim, H. & Kwon, S.-W. Approximation de réseaux neuronaux de précision totale basée sur des opérations MAC binaires dans le domaine temporel. Brevet américain 17/085,300.

  • 30.

    Hung, J.-M. et coll. Défis et tendances dans le développement de puces informatiques à mémoire non volatile pour les dispositifs de périphérie intelligents. IEEE Trans. Électron Dev. 67, 14441453 (2020).

    ADS CAS Article Google Scholar

  • 31.

    Jiang, Z., Yin, S., Seo, J. & Seok, M. C3SRAM : une macro SRAM de calcul en mémoire basée sur un mécanisme de calcul de couplage capacitif robuste. IEEE J. Circuits à semi-conducteurs 55, 18881897 (2020).

    Article sur les annonces Google Scholar

  • 32.

    Hubara, I. et al. Réseaux de neurones binarisés. Dans Progrès dans les systèmes de traitement de l’information neuronale 41074115 (NeurIPS, 2016).

  • 33.

    Rastegari, M., Ordonez, V., Redmon, J. & Farhadi, A. XNOR-Net : classification ImageNet utilisant des réseaux de neurones à convolution binaire. Dans Conférence européenne 2016 sur la vision par ordinateur 525542 (2016).

  • 34.

    Lin, X., Zhao, C. & Pan, W. Vers un réseau neuronal convolutif binaire précis. Dans Progrès dans les systèmes de traitement de l’information neuronale 345353 (NeurIPS, 2017).

  • 35.

    Zhuang, B. et al. Réseaux de neurones binaires structurés pour une classification précise des images et une segmentation sémantique. Dans Conférence IEEE 2019 sur la vision par ordinateur et la reconnaissance de formes 413422 (IEEE, 2019).

  • 36.

    Shafiee, A. et al. ISAAC : un accélérateur de réseau neuronal convolutif avec arithmétique analogique in situ dans des barres transversales. Dans 2016 ACM/IEEE 43e Symposium international annuel sur l’architecture informatique 1426 (IEEE, 2016).

  • 37.

    Liu, B. et al. Entraînement à l’élimination du bruit assisté par numérique pour un moteur de calcul neuromorphique analogique basé sur une barre transversale de memristor. Dans 2013 50e conférence sur l’automatisation de la conception ACM/EDAC/IEEE 16 (IEEE, 2013).

  • 38.

    Wu, B., Iandola, F., Jin, PH et Keutzer, K. SqueezeDet : réseaux de neurones unifiés, petits et à faible puissance entièrement convolutionnels pour la détection d’objets en temps réel pour la conduite autonome. Dans Conférence IEEE 2017 sur la vision par ordinateur et la reconnaissance de formes 129137 (IEEE, 2017).

  • 39.

    Ham, D., Park, H., Hwang, S. & Kim, K. Electronique neuromorphique basée sur le copier-coller du cerveau. Nat. Électron. 4, 635644 (2021).

    Article Google Scholar

  • 40.

    Wang, P. et al. Quantification en deux étapes pour les réseaux de neurones à faible bit. Dans Conférence IEEE 2018 sur la vision par ordinateur et la reconnaissance de formes 43764384 (IEEE, 2018).

  • www.actusduweb.com
    Suivez Actusduweb sur Google News


    Ce site utilise des cookies pour améliorer votre expérience. Nous supposerons que cela vous convient, mais vous pouvez vous désinscrire si vous le souhaitez. J'accepte Lire la suite