Intel dévoile ses plans pour accélérer la loi de Moore à l’IEDM 2021 : amélioration de la densité 10x, mise à l’échelle logique jusqu’à 50 % et ère post-transistors en silicium

Pourquoi est-ce important:Moores Law a suivi les innovations informatiques qui répondent aux exigences de chaque génération de technologie, des ordinateurs centraux aux téléphones mobiles. Cette évolution se poursuit aujourd’hui alors que nous entrons dans une nouvelle ère de l’informatique avec des données illimitées et une intelligence artificielle.

L’innovation continue est la pierre angulaire de la loi Moores. Intels Components Research Group s’engage à innover dans trois domaines clés : les technologies de mise à l’échelle essentielles pour fournir plus de transistors ; de nouvelles capacités de silicium pour les gains de puissance et de mémoire ; et l’exploration de nouveaux concepts en physique pour révolutionner la façon dont le monde fait de l’informatique. Bon nombre des innovations qui ont franchi les barrières précédentes de la loi de Moores et qui se trouvent dans les produits d’aujourd’hui ont commencé avec le travail de recherche sur les composants, notamment le silicium contraint, les portes métalliques Hi-K, les transistors FinFET, RibbonFET et les innovations d’emballage, notamment EMIB et Foveros Direct.

Comment nous procédons :Les percées révélées à l’IEDM 2021 démontrent qu’Intel est sur la bonne voie pour poursuivre les progrès et les avantages de la loi Moores bien au-delà de 2025 grâce à ses trois domaines d’orientation.

1. Intel poursuit des recherches importantes sur les technologies de mise à l’échelle essentielles pour fournir plus de transistors dans les futures offres de produits :

  • Les chercheurs de l’entreprise ont décrit des solutions pour les défis de conception, de processus et d’assemblage de l’interconnexion de liaison hybride, envisageant une amélioration de la densité d’interconnexion de plus de 10 fois dans l’emballage. Lors de l’événement Intel Accelerated en juillet, Intel a annoncé son intention d’introduire Foveros Direct, permettant des bosses inférieures à 10 microns, offrant une augmentation d’un ordre de grandeur de la densité d’interconnexion pour l’empilement 3D. Pour permettre à l’écosystème de bénéficier des avantages d’un emballage avancé, Intel appelle également à l’établissement de nouvelles normes de l’industrie et de procédures de test pour permettre un écosystème de puces de liaison hybride.
  • Au-delà de son RibbonFET complet, Intel maîtrise l’ère post-FinFET à venir avec une approche d’empilement de transistors multiples (CMOS) qui vise à obtenir une amélioration de mise à l’échelle logique maximisée de 30 à 50 % pour l’avancement continu de la loi de Moores en installant plus de transistors par millimètre carré.
  • Intel ouvre également la voie à l’avancée de la loi de Moores dans l’ère de l’angström avec des recherches prospectives montrant comment de nouveaux matériaux de quelques atomes d’épaisseur seulement peuvent être utilisés pour fabriquer des transistors qui surmontent les limitations des canaux de silicium conventionnels, permettant des millions de transistors supplémentaires par zone de puce. pour une informatique toujours plus puissante au cours de la prochaine décennie.

2. Intel apporte de nouvelles capacités au silicium :

  • Des technologies d’alimentation plus efficaces progressent grâce à la première intégration au monde de commutateurs d’alimentation à base de GaN avec un CMOS à base de silicium sur une plaquette de 300 mm. Cela ouvre la voie à une alimentation électrique à faible perte et à haute vitesse vers les processeurs tout en réduisant simultanément les composants et l’espace de la carte mère.
  • Une autre avancée réside dans les capacités de lecture/écriture à faible latence d’Intel, à la pointe de l’industrie, utilisant de nouveaux matériaux ferroélectriques pour une éventuelle technologie DRAM intégrée de nouvelle génération qui peut fournir de plus grandes ressources mémoire pour faire face à la complexité croissante des applications de calcul, des jeux à l’IA.

3. Intel recherche des performances massives avec l’informatique quantique basée sur des transistors au silicium, ainsi que des commutateurs entièrement nouveaux pour une informatique extrêmement économe en énergie avec de nouveaux dispositifs à température ambiante. À l’avenir, ces révélations pourraient remplacer les transistors MOSFET classiques en utilisant des concepts entièrement nouveaux en physique :

  • À l’IEDM 2021, Intel a présenté la première réalisation expérimentale au monde d’un dispositif logique magnétoélectrique à orbite de spin (MESO) à température ambiante, qui a montré la possibilité de fabrication d’un nouveau type de transistor basé sur la commutation d’aimants nanométriques.
  • Intel et IMEC font des progrès dans la recherche sur les matériaux spintroniques pour rapprocher la recherche d’intégration de dispositifs de la réalisation d’un dispositif à couple de rotation entièrement fonctionnel.
  • Intel a également présenté des flux de processus qubit complets de 300 mm pour la réalisation d’une informatique quantique évolutive compatible avec la fabrication CMOS et identifie les prochaines étapes pour les recherches futures.

Technologie Intel Foveros Direct :

www.actusduweb.com
Suivez Actusduweb sur Google News


Ce site utilise des cookies pour améliorer votre expérience. Nous supposerons que cela vous convient, mais vous pouvez vous désinscrire si vous le souhaitez. J'accepte Lire la suite